월요일, 9월 29, 2014

좀 낭만적으로 죽었으면 좋겠다...

좀 낭만적으로 죽었으면 좋겠다...

어느 아동문학가의 유언장에 쓰인 글귀라고 합니다. 돌아가실때 까지 5평 움막에 사셨다고 합니다. 가난한 문학가 였냐구요? 천만에, 바로 "강아지똥", "몽실언니" 같은 베스트 셀러의 작가인 권정생 선생님입니다.

[도종환 칼럼] 권정생 선생의 다섯평 흙집
http://djhpoem.co.kr/board/?c=3_product/3_8&p=2&uid=661

[나무위키] 권정생
https://namu.wiki/w/%EA%B6%8C%EC%A0%95%EC%83%9D

[위키백과]
https://ko.wikipedia.org/wiki/%EA%B6%8C%EC%A0%95%EC%83%9D

어재 인터넷 어느 카페에서 주최하는 "귀농 귀촌 집짓기"에 관한 세미나에 다녀왔습니다. 건축 업자에게 "눈탱이" 맞지 않는 것이 최선이라 하네요. 그러려면 뭘 알아야 한다고. 집짓는 것이 그리 만만하지 않을 것이라는 생각은 해왔지만 역시 엄두가 나지 않습니다.

처음에는 그냥 소박하게 움막 수준이면 좋겠다고 생각 했습니다. 작은 책상 하나 놓을 만한 크기의 집과 맑은 날 밤 별을 볼 수 있는 하늘과 작은 텃밭이면 좋으리라.

그러다 하나둘 욕심이 더해지더군요. 거실과 침실따로, 편리한 주방, 벽난로, 완벽한 난방과 통풍이 잘되야 하고, 겨울에는 따뜻하고 여름엔 시원한 곳. 따로 나만의 작업실을 두어야지. 결국 이십평... 삼십평... 건평이 늘고, 덩달아 생활비 예상도 지금과 진배 없습니다. 결국 대답은 쉽게 했지만 앞으로 뭐하며 살게? 라는 질문이 무겁습니다. 소박했던 움막은 온데간데 없고 저택에 눌려 있네요. 이 허세를 맞춰 줄 땅이 있을리 만무하구요.

도시 생활만큼이나 우아하게 살길 바라면서 입으로는 낭만이라며 애써 우기고 있습니다. 그만 두고 싶다가도 다시 엄한 궁리를 해보는 것은 콘크리트 탑 중간층에 끼여 지내기가 싫어진 까닭입니다.  이게 다 늙어가는 탓이겠지요?

화요일, 9월 23, 2014

[이번주 밤하늘] 2014년 9월 19일부터 27일까지

[이번주 밤하늘] 2014년 9월 19일부터 27일까지

*이 글은 "Sky and Telescope" 지의 웹 페이지에 매주 게시되는 "This Week's Sky at a Glance"를 요약한 것 입니다. 맨눈으로 관측할 수 있는 가벼운 관측정보입니다. 가끔 사견과 경험 그리고 부가 정보를 겯들입니다.

참조 원문: http://www.skyandtelescope.com/observing/weeks-sky-glance-september-1927/#sthash.cUeYG6Ya.dpuf

2014년 9월 19일(금요일)

토요일 아침 이른 새벽, 동편 하늘에 목성이 하현달 왼쪽 위에서 빛납니다. 망원경을 목성과 바다로 가득한 초승달과 하현달을 향한지 얼마만인가요? 봄-여름의 행성인 토성은 작별을 고하고 이제 목성을 관측하기 좋은 겨울이 다가옵니다. 목성은 깊은 겨울밤이면 동쪽 하늘에 볼 수 있고, 초여름까지 초저녁부터 서쪽하늘에서 보일 겁니다.

Farewell Saturn
http://www.skyandtelescope.com/astronomy-news/observing-news/tour-septembers-sky-farewell-saturn-08312014/

* 행성의 공전 주기가 서로 다른 탓에 지구의 계절에 따라 관측할 수 있는 행성은 달라집니다. 앞으로 5년후면 공전 주기가 11년 가량인 목성은 현재 지구위치의 반대쪽에 놓이므로 여름에 관측 가능할 것이고, 토성은 늦가을 까지 관측 가능 합니다. 10년 후에 공전 주기가 29년 가량인 토성은 겨울에 관측할 수 있는 행성이 됩니다. 목성은 태양을 한바퀴 돌아 지금의 위치에 놓이므로 다시 겨울 행성이 되겠구요.



2014년 9월 20일(토요일)

황혼이 아직 남아 있을 때 수성과 희미한 스피카(Spica, 처녀자리)가 약 0.6도 가량 떨어져 있는 것을 남서쪽 수평선 가까이에서 볼 수 있습니다. 해가진 후 20분 가량 쌍안경을 가지고 수평선을 훓어 보세요.

식 변광성(eclipsing variable star, 쌍성계을 이루는 두개의 별인데 지구에서 보는 시선 축상에 두 별의 위치가 일치되어 밝기가 달라보이는 것)인 알골(Algol, 페르세우스 자리 Persei 베타별)이 최소 광도가 됩니다(시각은 미국동부 표준시 밤 10시 55분경). 일반적인 밝기 2.1등성에서 3.4등성으로 어두워 질 것 입니다.

21일 일요일 새벽에 하현달이 목성 아래와 레귤러스(Regulus, 사자자리 Leo)의 옆에 떠있을 겁니다.

2014년 9월 21일(일요일)

독수리 자리(Aquila)의 검은 비밀: 정말 어두운 곳에 갈 수 있는 기회가 있다면 독수리자리(Aquila)의 알테어(Altair) 근처에 "버나드 E(Bernard's E)"라고 알려진 암흑성운(dark nebula)을 찾아보세요. 게리 세로닉(Gary Seronik)이 쓴 "쌍안경으로 관측할 수 있는 주요 대상(Binocular Highlight"의 65쪽을 참조하세요. 달도 없는 칠흙같이 어두운 곳에 가야 볼 수 있을 겁니다.

(독수리 자리의 배경에 은하수가 지나갑니다. 은하수를 쌍안경으로 보면 마치 소금을 뿌려놓은듯 하죠. 알테어에서 약 3도가량 윗쪽에 마치 영어 대문자 E 처럼 생긴 별이 없는 영역이 보일텐데 바로 "버나드 E"라는 암흑성운이 가리기 때문입니다. 정말 어두운 곳에 쌍안경을 삼각대에 고정해 놓고 한참 살펴봐야 보일지 모르겠네요.)

참고: 게리 세로닉(Gary Seronik)씨는 유명한 아마추어 천문가 입니다. "스카이 앤드 텔리스코프"를 비롯한 여러 잡지에 기고글도 씁니다. 망원경을 자작가 이기도 하죠. 그의 블로그를 방문해보면 재미있는 사진과 휴대용 돕소니언 망원경이나 "창고문 형 별 추적기(Barn-Door Star Tracker)" 같은 자작기를 볼 수 있습니다.

A Place for Stargazing Enthusiasts
http://www.garyseronik.com/

Binocular Highlights
http://www.amazon.com/Binocular-Highlights-Celestial-Sights-Users-ebook/dp/B00HM8YWUC/ref=sr_1_1?s=books&ie=UTF8&qid=1411430366&sr=1-1&keywords=binocular+highlights

정말 어두운 곳이라면 백조자리(Cygnus)의 일등성 데네브(Deneb)의 주변을 감싸고 있는 "북 아메리카 성운(North America Nebula)"도 찾아보세요.어둡지만 아주 넓게 분포하고 있습니다. (성운은 밝은 별이 내는 빛이 주위의 우주먼지에 반사되어 희미하게 빛나 보이는 겁니다. 이런 성운을 보려면 정말 어두워야 합니다. 쉽게 볼 수 있는 성운으로 오리온 대성운이 있습니다.)

2014년 9월 22일(월요일)

9월은 추분(equinox)이 있는 달입니다. 우리나라(한국)의 추분은 9월23일 11시 29분입니다. 태양이 매 년 천구 적도를 지나 남쪽으로 내려가기 시작하는 겁니다. (봄의 춘분은 남쪽에서 적도를 지나 북으로 올라가는 시점) 북반구에서 본격적으로 가을이 시작하게 되는 거죠. 남반구에서는 봄의 시작이 되겠군요. 낮과 밤의 길이가 같아지고 태양이 정확히 동쪽에서 떠서 서쪽으로 지는 날이기도 하죠.

여름이 끝나가니 궁수자리의 찻주전자(Sagittarius Teapot)도 초저녁에 남쪽 하늘 낮게 드리워 가는 여름을 쏟아내는 모습입니다.

2014년 9월 23일(화요일)

아크투러스(Arcturus, 목동자리 Bootes)가 어둠이 내린 후 서쪽하늘 낮게 떠있습니다. 주황색의 아주 밝은 별인데 37광년 떨어진 적색거성입니다. 그 오른쪽으로 큰 국자(Big Dipper)가 북서쪽을 향하고 있는데 이별들은 80광년 가량 떨어져 있습니다.

동부표준시(EDT, 미국) 오후 7시 44분부터 식 변광성인 알골(Algol)이 가장 어두운 채 몇시간 지속됩니다.

2014년 9월 24일(수요일)

화성이 전갈지리(Scorpius)의 일등성 안타레스(Antares)에 4도이내로 접근하다 이달 말까지 북쪽으로 오릅니다. 화성은 안타레스에 비해 약간 밝지만 색깔이 거의 비슷해서 고대 그리스어로 "Antares"는 "Anti-Mars"라는 뜻을 가지고 있습니다.


2014년 9월 25일(목요일)

가을이 다가오면서 천정의 밝은 별은 베가(Vega, 거문고자리 Lyra)에서 데네브(Deneb)로 바뀌어 갑니다.





 가을로 가는 "여름의 대삼각형"

2014년 9월 26일(금요일)

저녁 8시나 9시경 포말하우트(Fomalhaut,남쪽 물고기 자리 Piscis Austrius)를 찾아보세요. 남쪽 지평선 위에 반짝이는 1등성으로 가을의 외톨이 별이라고도 합니다. 한밤에 가장 높은 고도(약 20도)에 올랐다가 이내 집니다.

2014년 9월 27일(토요일)

이달 말까지 초저녁 남서쪽 낮게 화성과 안타레스가 3도 이내로 접근합니다.

9월 28일 오전 12시 낮달을 볼 수 있겠는데 이때 토성이 달 뒤로 숨는 모습을 관측할 수 있을지도 모릅니다. 물론 아주 좋은 망원경(혹은 쌍안경)을 가지고 있어야 겠지요. 해가 있는 낮에 관측할 수 있는 천체 대상으로 달이 유일 합니다만 매우 드믈게 행성을 볼 수 있습니다.




월요일, 9월 22, 2014

안드로메다 대성운을 제대로(?) 사진에 담아보다.

안드로메다 대성운을 제대로(?) 사진에 담아보다.

일만 하는 자의 책상이라는 무시무시한 글을 올린 후 지난주와 지지난주는 바빴습니다. 뭐 그렇다고 일하느라 그런건 아니었습니다. 중간에 추석 기간도 끼어 있었구요.

발명품, 일만하다 죽을 자에게 최적화된 책상
http://goodkook.blogspot.kr/2014/09/blog-post_3.html

이번 학기에도 강의를 금요일밤으로 잡았습니다.
http://goodkook.blogspot.kr/2014/09/2014-2vlsi-da-2.html

강의 마치면 밤 8시반 입니다. 하늘을 보고 날씨가 맑으면 냅다 별보러 갑니다. 지지난주(9월13일)에는 양평에가서 혼자 2박3일 놀다왔네요. 지난 주(9월20일)에는 부모님 모시고 홍천에 다녀왔구요. 역시 양평보다 홍천의 하늘에 별이 총총합니다.

요즘은 늦은 저녁 동쪽하늘에 페가서스와 안드로메다가 멋집니다. 아래 사진에서 안드로메다 대성운을 찾아보아요. (사진 다운로드해서 원래크기로 보며 찾을 것)

[안드로메다 대성운 찾기, NEX-5/16mm/f2.8/ISO1600/10sec,양평 지평면]

별자리 찾기 힌트(그림 다운로드해서 원래크기로 볼 것)

망원렌즈로 찍어봤습니다. 중간에서 우측 위에 솜털(?)이 보이죠! 그게 안드로메다 대성운입니다. (S-Tracker 사용)

[안드로메다 대성운, NEX-5/206mm/f6.3/ISO3200/10sec,양평 지평면]

감도를 높이고 시간을 조금 늘였습니다. 별이 위아래로 도는 모습이 보이죠.

[안드로메다 대성운, NEX-5/206mm/f6.3/ISO12800/15sec,양평 지평면]

천체 대상이 흐르지 않고 장노출로 찍으려면 추적기가 필요합니다. 자작한 추적기를 가동하여 같은 조건으로 찍어봤습니다. 이번에는 약간 좌우로 흐르네요. 극축을 정확히 맞추지 못한데다 추적기 회전 속도도 맞지 않았기 때문입니다. 어쨌든 추적기 동작은 쓸만 합니다.

Barn-Door Style S-Tracker

[안드로메다 대성운, NEX-5/206mm/f6.3/ISO12800/15sec,양평 지평면] 


처음 시도해본 타임랩스. 많이 우습긴 하지만 처음 시도해봤습니다. 사진[NEX-5/16mm/f2.8/ISO1600/2sec] 겨우 7장으로 만들었습니다. 리모콘 가지고 시간 재서(Time Laps) 수십장의 사진을 찍는 것은 불가능합니다. 주기적으로 사진을 찍을 수 있는 IR 리모콘을 만들어야 겠습니다.


물론 천정에 여름 별자리는 여전합니다. 보일락 말락하는 은하수와 백조자리.

[보일락 말락 은하수, NEX-5/16mm/f2.8/ISO1600/20sec,양평 지평면]

새벽에 남쪽하늘의 오리온 자리가 떠있네요. 쌀쌀한 것이 가을이 느껴집니다. 아래 사진은 Orion Nebula,M42을 찍은 겁니다. 오리온 대성운이 보이나요? 사진을 다운 받아서 확대해서 봐야 뭔가 보일 겁니다.

[오리온 대성운,NEX-5/210mm/f6.3/ISO1600/4sec,양평 지평면]

오리온 대성운 타임랩스 시도해 봤습니다. 멋진 오리온 대성운 사진을 상상하며 시간별로 별이 옆으로 지나가는 구나... 라고 생각해 주세요. ^^

[2014-2/VLSI-DA] 3주차 " Basics of Verilog HDL"

[2014년2학기/VLSI-DA] 3주차 " Basics of Verilog HDL"

[VLSI-DA/W03] Basics of Verilog HDL

- How to simulate concurrent execution in the HDL which is a kind of computer language ?
- Blocked or Non-Blocked assignment in Verilog HDL.
- Design example "Synchronous MOD-10 Counter"
All student must submit "HOMEWORK 01" as printed form before today's class. We'll talk with your submitted homework.
- Basics of Testbench
- HOW to use ICARUS Verilog Simulator
Find attached files for your self-study.

Nonblocking Assignments in Verilog Synthesis, Coding Styles That Kill!

수업에 사용할 Verilog 시뮬레이터는 ICARUS iVerilog 입니다. 상용 시뮬레이터는 고가입니다. 학습용으로 손색이 없는 무료 시뮬레이터 입니다. 이런 소프트웨어를 개발하는 이들에게 감사 합니다.

리눅스용 이지만 윈도우즈 용도 있습니다.

iVerilog User Guide WiKi

수강생 중에 HDL의 경험이 없는 학생이 90%가 넘습니다. 그렇다고 대학원 수업에서 문법과 구문을 하나하나 가르칠 수는 없습니다. 일단 HDL도 컴퓨터 언어의 하나이며, 그 근원은 자연어(영어)와 기초 대수라는 점을 인식 시킵니다. 각 언어마다 형식의 차이가 있을 뿐이라는 점도 쉽게 전달 됩니다.

예를 들어 "if" 라는 영어 단어를 모를리 없죠. 이 단어가 컴퓨터 언어에서 쓰이는 용도도 금방 이해합니다. C언어에서나 Verilog 언어에서나 용도는 다르지 않습니다. 자신의 생각(아이디어 혹은 알고리듬)을 가지고 있어야 합니다. 언어는 그저 도구일 뿐이라는 점입니다. 도구와 기법은 알려줄 터이니 생각을 가지라고 말해 줍니다.

수업이 Verilog HDL을 접해보지 않았다는 학생들에게 첫 과제로 너무 어려운 과제일지 모르지만 그동안 배워온 지식을 동원해 보기로 합니다.

먼저 16-비트 카운터를 DUT(Design Under Test) 삼아 설계해 봅니다.

//-------------------------------------------------
// File: count16.v
// Purpose: Verilog Simulation Example
//-------------------------------------------------
`timescale 1 ns / 100 ps

module count16 (count, count_tri, clk, rst_l, load_l, enable_l, cnt_in, oe_l);
output [3:0] count;
output [3:0] count_tri;
input clk;
input rst_l;
input load_l;
input enable_l;
input [3:0] cnt_in;
input oe_l;

reg [3:0] count;

// tri-state buffers
assign count_tri = (!oe_l)? count : 4'bzzzz;
// synchronous 4 bit counter
always @ (posedge clk or negedge rst_l)
begin
if (!rst_l) begin
count <= #1 4'b0000;
end
else if (!load_l) begin
count <= #1 cnt_in;
end
else if (!enable_l) begin
count <= #1 count + 1;
end
end

endmodule //of count16

HDL 로 설계는 아주 쉽지요. 이런 카운터를 종래의 논리회로 설계법과 비교하면 이렇게 쉬울순 없습니다. 이미 알고있는 언어적 상식과 하드웨어 특성 그리고 기초적인 Verilog 요소를 동원하면 금방 이해할 수 있습니다.

module ~ endmodule

HDL에는 병렬구문과 순차구문이 있다는 것을 이해합니다.

assign .....;

always @() begin ~ end

병렬 구문을 소프트웨어로 모의(simulate)하는 방법을 설명합니다. 보통 소프트웨어 언어의 문장 실행은 evaluation 즉시 저장 실행 되지만 HDL의 병렬 구문은 evaluation 후 event 수집 검사(aggregate event)후 최종 적용(update) 된다는 것을 설명해 줍니다.

정작 적재 가능한 16-비트 카운터(Loadable Counter)의 행위를 묘사하는 것은 그리 어렵지 않습니다. 누구나 다아는 if 구문이죠.

if () begin ~ end

이어서 테스트 벤치를 만들어 보죠.

//-------------------------------------------------
// File: cnt16_tb.v
// Purpose: Verilog Simulation Example
// Test Bench
//--------------------------------------------------
`timescale 1 ns / 100 ps
module cnt16_tb ();
//----------------------------------------------
// inputs to the DUT are reg type
reg clk_50;
reg rst_l, load_l, enable_l;
reg [3:0] count_in;
reg oe_l;

//----------------------------------------------
// outputs from the DUT are wire type
wire [3:0] cnt_out;
wire [3:0] count_tri;

//----------------------------------------------
// instantiate the Device Under Test (DUT)
// using named instantiation
count16 U1
(
.count(cnt_out),
.count_tri(count_tri),
.clk(clk_50),
.rst_l(rst_l),
.load_l(load_l),
.cnt_in(count_in),
.enable_l(enable_l),
.oe_l(oe_l)
);

//----------------------------------------------
// create a 50Mhz clock
always
#10 clk_50 = ~clk_50; // every ten nanoseconds invert

//----------------------------------------------
// initial blocks are sequential and start at time 0
initial
begin
$dumpfile("counter16.vcd");
$dumpvars(0, cnt16_tb);
$display($time, " << Starting the Simulation >>");
clk_50 = 1'b0; // at time 0
rst_l = 0; // reset is active
enable_l = 1'b1; // disabled
load_l = 1'b1; // disabled
count_in = 4'h0; // initialize counter val.
oe_l = 4'b0; // enabled

#20 rst_l = 1'b1; // at time 20 release reset
$display($time, " << Coming out of reset >>");
@(negedge clk_50); // wait till the negedge of
// clk_50 then continue
load_count(4'hA); // call the load_count task
@(negedge clk_50);
$display($time, " << Turning ON the count enable >>");
enable_l = 1'b0; // turn ON enable
// let the simulation run,
// the counter should roll
wait (cnt_out == 4'b0001); // wait until the count
// equals 1 then continue
$display($time, " << count = %d - Turning OFF the count enable >>", cnt_out);
enable_l = 1'b1;
#40; // let the simulation run for 40ns
// the counter shouldn't count
$display($time, " << Turning OFF the OE >>");
oe_l = 1'b1;
// disable OE, the outputs of
// count_tri should go high Z.
#20;
$display($time, " << Simulation Complete >>");
$stop; // stop the simulation
end
//---------------------------------------------------------
// This initial block runs concurrently with the other
// blocks in the design and starts at time 0
initial
begin
// $monitor will print whenever a signal changes
// in the design
$monitor($time,
" clk_50=%b,rst_l=%b,enable_l=%b,load_l=%b,count_in=%h,cnt_out=%h,oe_l=%b, count_tri=%h",
clk_50, rst_l, enable_l, load_l, count_in, cnt_out, oe_l, count_tri);
end
//---------------------------------------------------------
// The load_count task loads the counter with the value passed
task load_count;
input [3:0] load_value;
begin
@(negedge clk_50);
$display($time, " << Loading the counter with %h >>", load_value);
load_l = 1'b0;
count_in = load_value;
@(negedge clk_50);
load_l = 1'b1;
end
endtask //of load_count

endmodule //of cnt16_tb

Verilog 언어 입문용으로는 조금 과한 듯도 합니다만, 이제껏 배워온 지식과 직감을 총동원하면 전혀 모를것도 아닙니다. 대략적인 설명을 해줬지만 다음주에 한번더 설명해야 겠습니다.

[2014-2/VLSI-DA] 개강 그리고 2주...

[2014 2학기/VLSI Design Automation] 개강 그리고 2주...

9월 첫째주 부터 개강했습니다. 이번 학기도 역시 VLSI Design Automation 이라는 제목의 강의인데 석박사과정 학생을 대상으로 합니다. Verilog HDL 설계 및 검증 기법을 펼칠 예정인데 주로 테스트 벤치 고급 과정이 될 겁니다. 욕심은 HDL과 SystemC, C-PLI까지 했으면 좋겠지만 학생들의 호응도에 따라 수준이 결정되겠지요. 수강생이 무려 20명이나 됩니다. 그중 반수가 외국인 학생들이네요.

제가하는 수업은 3시간 강의하고 과제를 내주는 방식입니다. 이 한과목 수업을 위해 수원까지 매두 두번씩 갈 수 없어서 금요일 저녁에 몰아서 3시간 수업 합니다. 영어로 3시간을 떠들려면 목도 아픕니다만 지루하지 않게 하려면 여간 공이 들어가는 게 아닙니다. 앞서 내준 과제를 제출 받아 일대일 대화로 점검합니다. 약 한시간 가량 걸립니다. 그리고 한시간 반정도를 수업을 하죠. 대략 8시 반쯤 끝이 납니다. 수업 시작하기 전에 공부할 자료를 사전에 전자메일로 보냅니다.

수업 자료를 만들어야 하지만 그럴 시간이 없으니 인터넷에서 자료를 찾아 미리 읽어오도록 합니다. 가끔 딱 맞는 자료가 없어서 방대하긴 합니다. 그럴땐 수업중에 요약해 줍니다.

개강하고 벌써 3주가 흘럿군요.

------------------
1주전: 개강 예고

Hi All, This is first message from your teacher.

I'm Kook, teacher of the class, VHDL Design Automation. We'll study HDL-Hardware Description Language as a methodology of automated VLSI design. We explore advanced VLSI design techniques with some examples and its verification(Testbench) at highest level.

Student must have following prerequisite,
1. Advanced Digital Circuit Design
2. One of modern HDL syntax:VHDL,Verilog,etc.
3. C/C++ Procedural Languages

Every week, you will have study materials and homeworks via e-mail. You must complete this homework. This is lectured course. Core point of design techniques are present by teacher and discuss about submitted homeworks.

Our class start at 5,Sep.Fri.18:00.Check e-mail every week!
Good Luck,
Kook

---------------------
1주

[VLSI-DA/W01] Introduction to Design Automation and HDL

It's first time of our course, I want to hear from you,
- Why you do you take this course ?
- Do you have prerequisite for this course ?
- Your interest in HDL based design methodology.

This week we'll discuss following issues,
- Brief History of HDL: in 70's-SPICE, 80's-SCHEMATICS, 90's-HDL, 21st C-Highest level of Abstraction
- Design Automation, HOW we can achive ?
- Design flow based on HDL
- Modern HDL Comparison:VHDL, Verilog, SystemVerilog
- Question:
Do you feel easiness and effectiveness if it is Language based design?
Can you aware differences of simulation and design ?

Reference:
Higher Level Simulation and Hardware Description Language

Comparison of VHDL, Verilog and SystemVerilog

Wht HDL?

----------------------
2주

[VLSI-DA/W02] Aware diffrence of procedural programming language and HDL

Hi All,

Today we'll discuss about

1. Simulation and Design Language and its design automation
2. Differences of procedural programming language and HDL
3. Basic concepts and formality of HDL, Verilog
Come to class with last week's study materials as printed.

Reference
Recomended Coding Style(Altera)

Tutorial on Verilog

Sample Verilog HDL Codes


-----------------------
숙제 1

[VLSI-DA/HW01] Design MOD-10 Counter

Hi Students,

This is our first home work. Our design practice is,

"Design MOD-10 Counter"
This counter has following features,

- Synchronous design
- Mode of Up/Down Counter

1. Draw the counter in classical logic circuit design

See counter design practice at following link

Draw MOD-10 counter using D- or T-flipflop by yourself.

2. Describe MOD-10 Up/Down counter using Verilog HDL. You can refer to following link,


There are sample Verilog for the counters. Modify the counter Verilog to MOD-10 with synchronous reset.

3. Place line-by-line comments on your Verilog HDL
4. Discuss about "Is it easy to use HDL compared to legacy logic circuit design?"
5. Discuss about "Where the counter circuit is used for ?"

Submit homework by Friday, 19th, Sep.



수요일, 9월 03, 2014

발명품, 일만하다 죽을 자에게 최적화된 책상

발명품, 일만하다 죽을 자에게 최적화된 책상

도미니크 윌콕스의 발명품으로 평생 일만하다 죽을 자에게 제격인 "책상 겸 관"




도미니크 윌콕스(Dominic Wilcox)는 영국에서 활동하는 디자이너 랍니다.

허핑턴 포스트
http://www.huffingtonpost.kr/2014/08/29/story_n_5734502.html?utm_hp_ref=mostpopular

화요일, 9월 02, 2014

VRinsight's PropCockpit Trainer(PCT) Movies

VRinsight's PropCockpit Trainer(PCT) Movies

VRinsight의 비행 시뮬레이터 입니다. 제가 직접 개발하고 판매하는 순수 한국산입니다. 저는 VRinsight사의 개발 총책입니다.

VRinsight
http://www.vrinsight.com

등짝만 보이는 이가 바로 접니다. ㅎㅎㅎ



한국 항공전문학고 항공조종과에 설치된 VRinsight의 시뮬레이터. 비행 훈련이 없는 날은 중고등학생 대상으로 체험행사도 한다는 군요.



VRinsight의 시뮬레이터는 외국으로 수출 합니다. 유럽의 대리점 SimWare에서 만든 동영상



Imagine Wings Ltd.에서 만든 동영상



말레이지아의 청소년 교육에 사용되고 있는 동영상. 현지 TV 방송에도 나왔습니다. 운영회사는 InfoTech Quest








InfoTech Quest 사장님 인터뷰



비행체험 행사에서 즐거운 아들과 어머니



자작 마그네틱 루푸 안테나, 수신만 확인 그리고 단양 전망대 관광

자작 마그네틱 루푸 안테나, 수신만 확인 그리고 단양 전망대 관광

야외로 나가거나 휴양지 콘도에 머물때 아마추어 무선국을 운용해 보고 싶었습니다. 그럴때 마다 단파대의 거대한(?) 안테나 설치가 항상 걸림돌이었습니다. 자리 적게 차지하고 설치하기 쉬운 안테나를 고심 했었죠.

아마추어무선 단파 통신용 포터블 안테나의 고심
http://goodkook.blogspot.kr/2014/06/blog-post_6741.html

이리저리 고심 끝에 마그네틱 루푸 안테나를 만들기로 합니다. 이 안테나를 선택한 이유는 특별히 없습니다. 설치가 수월하다는 것뿐.

소출력 통신용 마그네틱 루푸 안테나(QRP Magnetic Loop Antenna)자작
http://goodkook.blogspot.kr/2014/08/qrp-magnetic-loop-antenna.html

이렇게 만들어서 집 근처 야영장으로 나갔습니다. 이날 바람에 제법 불었는데 넘어지지 않았습니다. 일단 구조는 잘 만들어졌군요. 보시다 시피 지상고가 아주 낮습니다. 마그네틱 루푸 안테나는 지상고가 낮아도 좋다고 하더군요. 일본 신호들이 잘 잡히네요. 이날은 대학 동기들이 놀러와서 먹고 노느라 교신은 못했습니다. 송신 성능의 궁금증을 남겨 놓은채 실험을 마쳤습니다.



여름 휴가를 겸해서 단양에 몇일 다녀왔습니다. 콘도에 묶었죠. 7층으로 방을 배정 받았습니다. 베란다에 마그네틱 루푸 안테나를 설치합니다. 단 10분이면 설치할 수 있어 이동성은 아주 좋군요. 안테나는 모름지기 성능이지 모양 따위는 의미없겠죠.

마그네틱 루푸 안테나는 주변에 철물이 있으면 좋지 않다고 합니다. 아래 사진에서 베란다 난간은 PVC 입니다. 이 안테나가 지상고가 낮아도 된다고 하지만 바닥에서 1미터 이상은 높여줘야 겠더군요. 지상에서 2~30센티미터 띄웠을 때와 1미터 올렸을때 수신 성능 차이가 납니다.

안테나가 향한 방향은 북동쪽 입니다. 콘도는 12층 건물이었는데 7층 베란다이니 뒷편으로는 막혀 있다고 봐야 겠습니다. 이렇게 설치하고 수신해 봤습니다. 일본 신호는 여전히 잘들어옵니다. 러시아 동부와 간간히 미국의 중서부 신호도 수신되네요.

가지고간 무전기는 자작 EHB-1로 2.5와트 출력을 자랑하죠. 이번에도 아쉽지만 교신을 하지 못했습니다. 송신 성능에 문제가 있는 걸까요?

마그네틱 루푸 안테나의 매칭 회로에 가변 컨덴서를 필요로 합니다. 아주 고압이 형성되기 때문에 고압 컨덴서가 필요하죠. 자작한 안테나에 사용한 가변 컨덴서의 로터와 스테이터 평판 사이가 1미리미터도 되지 않아 무전기에서 5와트만 출력해도 무려 300볼트의 고주파 전압이 걸려 사용이 곤란해 집니다. 극판 사이에서 방전해 버리거든요. 스파크가 튀는거죠. 출력을 100와트로 높이면 무려 3천볼트의 고압이 걸린다는 군요. 100와트 출력을 내려면 적어도 가변 컨덴서 극판 사이가 1.7 밀리미터 이상은 되어야 합니다.

이런 고압 가변 컨덴서를 자작하기도 한답니다. 하지만 막상 만들려니 쉽지 않겠습니다. 정크 품을 구해봐야 겠습니다. 혹시 가지고 계시다면 양도 바라겠습니다.

놀러갔으니 낮에는 구경다닙니다. 단양 8경을 돌아봤죠. 워낙 잘 알려진 곳이죠.

도담삼봉에 갔을 때 인근의 밭에서 새쫒는 가스 폭발음을 내는데 어찌나 연달아 울려 대는지 머리가 지끈 거릴 정도 입니다. 둘러쌓인 산 사이로 흐르는 강 한가운데 도담삼봉, 바로 건너편에서 쏴대니 그 소리가 고스란히 울려 퍼집니다. 무슨 귀한 곡식을 심었는지 모르지만 너무 심하게 쏴대더군요. 거의 10초간격으로 마치 온 마을 주민들이 서로 경쟁이나 하는 듯 했습니다. 게다가 관광선 선착장의 호객용 라디오 확성기 소리가 더해져 도담삼봉의 아름다은 모습은 눈에 들어오지도 않네요. 도담삼봉이 들썩일 지경입니다.

다리안 폭포 공원입니다. 마침 전날 비가 많이 와서 계곡물이 침차고 시원하게 흐릅니다.



비가온 후라 고수동굴은 관람이 불가하다고 하여 전망대가 있길래 올라 갔습니다. 상당히 높은데 그곳까지 콘크리트 포장도로가 깔려 있어서 차를 몰고 올라 갔습니다. 어찌나 가파르고 구불구불한지 올라가면서 내심 걱정이 되더군요. 그래도 길은 포장이 잘되어서 승용차로도 올라 갑니다.


 전망대 모습입니다. 역시 잘올라 왔다는 생각이 들만큼 멋진 풍경을 보여줍니다.


아래로 내려다 보이는 계곡사이의 마을이 정겹습니다.



패러글라이딩 활공장이 있네요. 한번 비행하는데 8만원 이랍니다. 비행 시간은 20여분 이라는 군요. 이날은 바람이 세서 비행할 수 없다고 하더군요. 결국 짐싸서 내려가시네요.


단양 8경보다 이곳 전망대가 훨씬 멋집니다. 승용차로도 정상까지 갈 수 있는데 방문객은 한산 하더군요. 혹시 단양에 가시거든 꼭 한번 올라가 보세요. 전망대 활공 이륙장에서 내려다본 단양의 모습입니다.



월요일, 9월 01, 2014

홍천 귀촌 농가 탐방 갔다가 은하수에 빠진 이야기

홍천 귀촌 농가 탐방 갔다가 은하수에 빠진 이야기

지난 8월 30일에 1박2일 일정으로 귀농교실 현장탐방이 있었습니다. 강원도 홍천군의 귀농한 가구에 머물럿는데 마침 이날 하늘이 맑더군요. 바로 코앞으로 날아다니는 반딧불이를 볼 정도로 맑은 동네였습니다. 밤새 하늘에는 은하수가... 이런 밤하늘은 기억이 까마득 합니다.


초저녁 귀농가 데크에 앉아 저녁을 먹으며 바라본 서쪽하늘에 상현달이 빛나더군요. 달 옆으로 토성과 화성이 빛나고 있었습니다. 행성은 정말 밝은데 크기가 작을 뿐이지 달만큼이나 밝게 보였습니다. 산에 둘러 쌓여 있어서 낮게 뜬 스피카는 볼수 없었습니다. 천칭자리 알파별도 맨눈으로는 보이지 않더군요.

어둠이 완전히 내려앉은 밤하늘에 은하수가 뜨는데 정말 환상적인 밤하늘 이었습니다. 카메라를 가지고 가지 않아서 사진을 찍지 못한 것이 너무나 아까웠습니다. 하지만 이날 밤하늘을 보며 감탄했던 분들이 많이 있더군요. 어느 아마추어 천문가가 찍은 이날의 은하수 사진입니다.

http://vimeo.com/104885300

서울 천문 동호회( http://sac-club.co.kr/ )의 어느 회원이 찍은 사진인데 이분도 홍천에 관측 갔었다고 하네요. 맨눈으로 봐도 사진만큼 강동적인 하늘이었습니다.

초저녁에, 상현달과 토성, 화성, 그리고 제가 좋아하는 별자리 전갈의 머리를 봤습니다. 어둠이 내린 후, 북쪽으로 큰곰자리가 산아래로 내려가자 동쪽으로 카시오페아가 떳구요, 여름 대 삼각형이 속한 별자리 백조, 거문고, 독수리 자리를 봤네요. 특히 독수리의 온전한 모습을 맨눈으로 보기는 처음이었습니다. 천정에서 서쪽으로 내리는 은하수의 황홀한 광경은 더 말할 나위가 없었습니다. 은하수 끝에 찻 주전자가 물을 받는 모습이었습니다. 동쪽으로 산을 기댄 위치라 페가서스는 보질 못했군요.

밤하늘을 구경할 때 가장 큰 어려움은 목이 꺽어지는 아픔이 있다는 겁니다. 농가 주인께 평상을 만들어 놓으시라고 말씀드렸죠. 스티로폼을 얻어다 마당에 깔고 누워 실컷 은하수 구경 했네요. 밤이 깊어지니 산중이라 날씨가 제법 쌀쌀해 졌습니다. 게다가 이슬이 내리기 시작해 금방 바닥이 축축하다 못해 물이 줄줄 흐릅니다. 역시 침투성있는 나무 평상이 최곱니다.

새벽 4시반경 일어나 다시 마당으로 나갔습니다. 기대를 져버리지 않고 별이 총총하네요. 동쪽하늘에서 오리온이 떠있군요. 역시 오리온 대성운은 맨눈으로 봐도 멋진 거대한 성운의 모습을 보여줍니다. 오리온의 방패까지 전체 모습을 맨눈으로 보기도 처음이네요.

오리온 옆으로 쌍둥이가 사이좋게 누워 있었구요, 황소 얼굴도 선명합니다. 플레이어데스 성단의 일곱자매 모습은 언재 봐도 아릅답습니다.

이날 특별히 관측장비를 가져가지 않았습니다. 자작 별 추적기를 시험해 볼 좋은 기회였는데 참 아쉽습니다. 이렇게 멋진 밤하늘을 보게될 줄 몰랐던 거죠.

Barn-Door style S-Tracker

다음을 기약해 보기로 합니다.

그나저나 첫 방문에 이런 행운을 안겨 줬으니 귀촌지를 홍천으로 정해야 할까 봅니다. 저의 귀촌의도를 설명하고 왔는데 적당한 곳이 나올지 기다려 봅니다. 시골땅 구하려면 발품을 팔아야 한다고 하던데 이날 모인 다른 분들이야기 들어보니 전국 안다닌 곳이 없더라구요. 저같이 게으른 사람은 그냥 첫 느낌으로 정해야 할까봅니다. 그 느낌 아니까.. ㅎㅎㅎ

[이번주 밤하늘]2014년 8월 29일부터 9월 6일까지

[이번주 밤하늘]2014년 8월 29일부터 9월 6일까지

*이 글은 "Sky and Telescope" 지의 웹 페이지에 매주 게시되는 "This Week's Sky at a Glance"를 요약한 것 입니다. 맨눈으로 관측할 수 있는 가벼운 관측정보입니다. 가끔 사견과 경험 그리고 부가 정보를 겯들입니다.

이번주 참조 원문: http://www.skyandtelescope.com/observing/weeks-sky-glance-august-29-september-6/#sthash.miug2784.dpuf

2014년 8월 29일[금요일]

저녁 하늘에 달이 다시 모습을 드러내게 됩니다. 서서히 차오르기 시작하는 상현달의 모습을 초저녁 남서 하늘에서 보게될 겁니다. 그 아래에 반짝이는 스피카(Spica, 스파이카, 처녀자리 Virgo의 일등성)도 찾아 보세요. 낮게 떠있어서 저녁 어스름이 남아 있으면 잘 안보일 겁니다. 쌍안경을 이용해야 할겁니다. 달에서 왼쪽 위로 좀 떨어진 곳에 화성과 토성이 반짝이고 있을 겁니다. 행성은 워낙 밝아서 금방 눈에 띕니다.

* 공전하는 달을 지구에서 보면 동에서 떠서 서로 집니다. 그런데 달의 공전 주기와 지구의 태양 공전 주기의 차이로 인해 어떤 때에는 해가 지면서 갑자기 서쪽하늘에 달이 나타나기도 합니다. 달은 이미 동에서 떠서 낮동안 해와 같이 하늘을 가로지르다 해가 지자 어둠속에서 모습을 드러낸 겁니다. 일식 처럼 낮에 뜬 달이 태양을 가리기도 합니다. 낮에 달이 이미 져버려서 밤새 달이 없는 밤도 있구요. 밤새 안보이다 새벽에 달이 뜨기도 합니다. 해가 뜨면 하늘이 너무 밝아 안보이는 것 뿐이지 달이 없어진 것은 아닙니다.



2014년 8월 30일[토요일]

상현달이 토성과 화성과 함께 반짝입니다. 이날 달과 행성의 위치는 위의 그림을 참조 하세요. 달과 두 행성이 이루는 삼각형 중심에 천칭자리(Librae, 라이브라)의 알파별을 찾아보세요.

2014년 8월 31일[일요일]

초저녁 어둑해질 쯤 달의 오른쪽에 토성과 화성을 찾아 보세요.
어둠이 내리고 별이 나오기 시작하면 아크트루스 (Arcturus, 목동자리 Bootes 일등성)가 서쪽하늘 높이 빛날겁니다. 완전히 어두워지면 북서쪽 하늘에 서서히 산등성이 아래로 내려가는 큰 국자(Big Dipper, 큰곰자리 Ursa Maj.의 일부. 북두칠성)를 찾아볼 수 있지요.

별자리를 찾기가 학술적으로 그리고 관측자의 능력을 보여주는 의미는 없다고 합니다. 별자리는 천문학자보다 아마추어 천문가가 더 잘안다고 할 정도니까요. 하지만 별자리를 알면 훨씬 재미있다는데 모두 동의할 겁니다.

참고: 별자리 찾기
http://goodkook.blogspot.kr/2013/12/blog-post.html
http://goodkook.blogspot.kr/2013/12/blog-post_26.html

2014년 9월 1일[월요일]

월요일과 화요일 저녁에 상현반달을 볼 수 있습니다. 달은 전갈자리(Scorpius) 위를 지나게 되겠군요.

2014년 9월 2일[화요일]

어둠이 완전히 내리면 페가서스(Pegasus, 천마)의 몸통에 해당하는 대 삼각형 동쪽하늘 위로 보일겁니다. 팔을 뻗어 보이는 주먹 넓이보다도 큰 규모의 별자리 입니다.

2014년 9월 3일[수요일]

어둠이 완전히 내린 후 달아래로 궁수자리(Sagittarius, 활을 쏘는 반인반수)의 일부인 찻 주전자(Tea Pot)가 오른쪽으로 기울어 물을 붇고 있는 모습을 찾아보세요.

2014년 9월 4일[목요일]

금요일 새벽 해뜨기 삼십분전, 쌍안경으로 동쪽 지평선 위의 금성(Venus)를 찾아보세요. 목성에서 한참 왼쪽 아래에 있을 겁니다. 금성에서 각거리 1도 이내에 백분의 일밖에 안되는 밝기의 레귤러스(Regulus, 사자자리 Leo 일등성)를 찾아 봅시다.

2014년 9월 5일[금요일]

어둠이 내린 후 남서 하늘에 토성과 화성, 전갈 자리의 안타레스(Antares)와 델타별(Delta (δ) Scorpii)을 위시한 3개의 별(전갈의 머리와 집게에 해당함)이 수직으로 거의 비슷한 간격을 유지한 채 자리 하겠습니다. 원래 델타별이 그 위의 베타별 보다 조금 더 어두운 별이었습니다. 2000년 7월에 갑자기 밝기가 두배나 되었다가 그 후로 밝기가 불규칙해 졌답니다. 아마도 큰 별이 폭발한 것이겠지요.

달 위로 독수리 자리의 별 알테어(Altair)도 찾아보세요. 여름 대삼각형을 이루는 별이죠.




2014년 9월 6일[토요일]

이날 밤에는 달의 오른쪽을 살펴보죠. 팔을 뻗고 보는 주먹넓이보다 작게 떨어진 곳에 가깝게 붙어 있는 두개의 별(3등성)은 바다 염소자리(Capricornus, 상반신은 염소 하반신은 물고기)의 알파와 베타별입니다. 아주 자세히 봐야 두개의 별로 구분되어 보일 겁니다. 쌍안경을 통해보면 분명히 분리된 이중성으로 보입니다.